Kenji KISE, Professor
Tokyo Institute of Technology (TOKYO TECH), Department of Computer Science, School of Computing
E-mail: kise at c.titech.ac.jp, Web: www.arch.cs.titech.ac.jp/~kise/

吉瀬 謙二 東京工業大学 情報理工学院 情報工学系 教授 (Laboratory's Homepage, 研究室のホームページ)
E-mail: kise at c.titech.ac.jp, Web: www.arch.cs.titech.ac.jp/~kise/ (Old Homepage, 以前のホームページはこちら.)


Experience (職歴)

Education (学歴)

Associations (所属学会)


Publication List in Google Scholar

Publication List in Tokyo Tech Research Repository (T2R2)


学位論文

  1. 吉瀬謙二, 高レベル投機技術を用いた複数パス実行プロセッサ, 博士論文, 東京大学大学院 工学系研究科 (March 2000).
  2. 吉瀬謙二, 多数演算器方式のプロセッサ構成に関する研究, 修士論文, 東京大学大学院 工学系研究科 (March 1997).

Lectures (担当講義)

  1. 東工大 情報工学コース CSC.T433 先端コンピュータアーキテクチャ, 2016年度〜2023年度
  2. 東工大 情報工学系 CSC.T363 コンピュータアーキテクチャ, 2018年度〜2023年度
  3. 東工大 情報工学系 CSC.T341 コンピュータ論理設計, 2018年度〜2023年度
  4. 東工大 情報工学系 XCO.T483 応用AI・データサイエンスA, 2019年度
  5. 東工大 情報工学系 XCO.T485 応用AI・データサイエンスC, 2019年度
  6. 東工大 情報工学系 XCO.T486 応用AI・データサイエンスD, 2019年度
  7. 東工大 計算工学専攻 計算機アーキテクチャ特論, 2009年度〜2015年度
  8. 東工大 情報工学科 情報実験第四 組み込みシステム, 2011年度〜2017年度
  9. 東工大 情報工学科 計算機アーキテクチャ第一 Oクラス, 2009年度〜2016年度
  10. 東工大 情報工学科 計算機アーキテクチャ第一 Eクラス, 2009年度〜2016年度
  11. 東工大 5類F1ゼミ, 2013年度
  12. お茶の水女子大学 コンピュータアーキテクチャI, 2012年度〜2013年度

Books, Translations (著書,翻訳)

  1. 吉瀬謙二: RISC-Vで学ぶコンピュータアーキテクチャ 完全入門, 技術評論社 (February 2024).
  2. 佐藤真平, 藤枝直輝, 吉瀬謙二: 4ビットカウンタとシリアル通信ではじめるFPGA開発, 電子書籍 (ASIN:B08YZ8BLLK) (March 2021).
  3. 吉瀬謙二(4.4〜4.6節 翻訳), コンピュータ・システム プログラマの視点から, 丸善出版 (February 2019).
  4. Kenji Kise: An On-chip Router Architecture for Dependable Multicore Processor, Chapter 20, VLSI Design and Test for Systems Dependability, Springer Japan (January 2019).
  5. 中條拓伯, 天野英晴, 鈴木貢, 吉瀬謙二, 佐藤寿倫: コンピュータアーキテクチャ 定量的アプローチ 第5版, 翔泳社 (March 2014).
  6. 中條拓伯, 天野英晴, 吉瀬謙二, 佐藤寿倫: コンピュータアーキテクチャ 定量的アプローチ 第4版, 翔泳社 (February 2008).

Publication, Journals (研究業績 学術論文誌論文,査読有)

  1. Fumio Hamanaka, Takashi Odan, Kenji Kise, and Thiem Van Chu: An Exploration of State-of-the-Art Automation Frameworks for FPGA-Based DNN Acceleration, IEEE Access, Vol.11, pp.5701-5713 (Janualy 2023).
  2. Takuto Kanamori, Takashi Odan, Kazuki Hirohata, and Kenji Kise: RVCar: An FPGA-based simple and open-source mini motor car system with a RISC-V soft processor, IEICE Transactions on Information and Systems, Vol.E105.D, No.12, pp.1999-2007 (December 2022).
  3. Md Ashraful Islam, and Kenji Kise: An efficient resource shared RISC-V multicore architecture, IEICE Transactions on Information and Systems, Vol.E105-D, No.9, pp.1506-1515 (September 2022).
  4. Hiromu Miyazaki, Takuto Kanamori, Md Ashraful Islam, and Kenji Kise: RVCoreP: An optimized RISC-V soft processor of five-stage pipelining, IEICE Transactions on Information and Systems, Vol.E103-D, No.12, pp.2494-2503 (December 2020).
  5. Elsayed A. Elsayed, and Kenji Kise: High-Performance and Hardware-Efficient Odd-even Based Merge Sorter, IEICE Transactions on Information and Systems, Vol.E103-D, No.12, pp.2504-2517 (December 2020).
  6. Thiem Van Chu and Kenji Kise: LEF: An Effective Routing Algorithm for Two-Dimensional Meshes, IEICE Transactions on Information and Systems, Vol.E102-D, No.10, pp.1925-1941 (October 2019).
  7. Shimpei Sato, Ryohei Kobayashi and Kenji Kise: ArchHDL: A Novel Hardware RTL Modeling and High-speed Simulation Environment, IEICE Transactions on Information and Systems, Vol.E101-E, No.2, pp.344-353 (February 2018).
  8. Thiem Van Chu, Shimpei Sato and Kenji Kise: Fast and Cycle-Accurate Emulation of Large-Scale Networks-on-Chip Using a Single FPGA, ACM Transactions on Reconfigurable Technology and Systems (TRETS), Volume 10, Issue 4, Article No.27, pp.1-27 (November 2017).
  9. Ryohei Kobayashi, and Kenji Kise: A High Performance FPGA-based Sorting Accelerator with a Data Compression Mechanism, IEICE Transactions on Information and Systems, Vol.E100-D, No.5, pp.1003-1015 (May 2017).
  10. Shinya Takamaeda-Yamazaki, Hiroshi Nakatsuka, Yuichiro Tanaka, and Kenji Kise: Ultrasmall: A Tiny Soft Processor Architecture with Multi-Bit Serial Datapaths for FPGAs, IEICE Transactions on Information and Systems, Vol.E98-D, No.12, pp.2150-2158 (December 2015).
  11. 小林諒平, 吉瀬謙二: 多数の小容量FPGAを用いたスケーラブルなステンシル計算機, 情報処理学会論文誌コンピューティングシステム, Vol.6, No.4, pp.1-13 (October 2013).
  12. 吉瀬謙二: シンプルな計算機システムの開発に向けた挑戦, 情報処理学会論文誌, Vol.54, No.7, pp1902-1912 (July 2013).
  13. 佐野伸太郎, 五十嵐俊哉, 吉瀬謙二: メッシュ/トーラス接続型スーパコンピュータに適した高性能タスク配置手法, 電子情報通信学会論文誌, Vol.J96-D, No.2, pp.269-279 (February 2013).
  14. 藤枝直輝, 吉瀬謙二: ディレクトリの余剰エントリを利用したCMP向け分散キャッシュの効率化, 情報処理学会論文誌コンピューティングシステム, Vol.5, No.3, pp.86-100 (May 2012).
  15. 佐藤真平, 吉瀬謙二: Distributed Shared-Buffer NoCルータのためのパイプラインバイパス手法, 情報処理学会論文誌コンピューティングシステム, Vol.5, No.1, pp.88-102 (January 2012).
  16. 佐野伸太郎, 吉瀬謙二: メニーコアプロセッサのための通信衝突に着目したタスク配置手法, 情報処理学会論文誌コンピューティングシステム, Vol.4, No.4, pp.96-109 (October 2011).
  17. 高前田伸也, 佐藤真平, 藤枝直輝, 三好健文, 吉瀬謙二: メニーコアアーキテクチャのHW評価環境ScalableCoreシステム, 情報処理学会論文誌コンピューティングシステム, Vol.4, No.1, pp.24-42 (February 2011).
  18. 植原昂, 佐藤真平, 吉瀬謙二: メニーコアプロセッサの研究・教育を支援する実用的な基盤環境, 電子情報通信学会論文誌, Vol.J93-D, No.10, pp.2042-2057 (October 2010).
  19. 若杉祐太, 坂口嘉一, 吉瀬謙二: 協調可能スーパースカラCoreSymphony, 情報処理学会論文誌コンピューティングシステム, Vol.3, No.3, pp.67-87 (September 2010).
  20. 里城晴紀, 吉瀬謙二, 小長谷明彦: マルチコアアーキテクチャのための密行列LU分解のプログラミング技術, 情報処理学会論文誌コンピューティングシステム, Vol.3, No.3, pp.199-208 (September 2010).
  21. 藤枝直輝, 渡邉伸平, 吉瀬謙二: 教育・研究に有用なMIPSシステムシミュレータSimMips, 情報処理学会論文誌, Vol.50, No.11, pp.2665-2676 (November 2009).
  22. Shimpei Sato, Naoki Fujieda, Akira Moriya, and Kenji Kise: SimCell: A Processor Simulator for Multi-Core Architecture Research, IPSJ Transactions on Advanced Computing Systems(情報処理学会論文誌コンピューティングシステム), Vol.2, No.1, pp.146-157 (March 2009).
  23. 塚本智博, 吉瀬謙二, 片桐孝洋, 弓場敏嗣: Alphaアーキテクチャ用COINSマシン記述の実装とGCCとの比較, 日本ソフトウェア科学会学会誌コンピュータソフトウェア, Vol.24, No. 3, pp.4-14 (July 2007).
  24. 大島聡史, 吉瀬謙二, 片桐孝洋, 弓場敏嗣: CPUとGPUを用いた並列GEMM演算の提案と実装, 情報処理学会論文誌コンピューティングシステム, Vol.47, No. SIG 12(ACS 15), pp.317-328 (September 2006).
  25. Takahiro Katagiri, Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: ABCLib_DRSSED: A Parallel Eigensolver with an auto-tuning facility, Parallel Computing, Vol.32, No.3, pp.231-250 (March 2006).
  26. Takahiro Katagiri, Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: ABCLibScript: a directive to support specification of an auto-tuning facility for numerical software, Parallel Computing, Vol.32, No.1, pp.92-112 (January 2006).
  27. 吉瀬謙二, 田邊浩志, 多忠行, 片桐孝洋, 本多弘樹, 弓場敏嗣: S-DSMシステムにおけるページ要求時の受信通知を削減する方式, 情報処理学会論文誌コンピューティングシステム, Vol.46, No. SIG 12(ACS 11), pp.170-180 (August 2005).
  28. Sanya Tangpongprasit, Takahiro Katagiri, Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: A Time-To-Live Based Reservation Algorithm on Fully Decentralized Resource Discovery in Grid Computing, Parallel Computing, Vol.31, pp.529-543 (June 2005).
  29. 吉瀬謙二, 片桐孝洋, 本多弘樹, 弓場敏嗣: Bimode-Plus分岐予測器の提案, 情報処理学会論文誌コンピューティングシステム, Vol.46, No. SIG 7(ACS 10), pp.85-102 (May 2005).
  30. 吉瀬謙二, 片桐孝洋, 本多弘樹, 弓場敏嗣: SimCore/Alpha Functional Simulatorの設計と実装, 電子情報通信学会論文誌, Vol.J88-D-I, No.2, pp.143-154 (February 2005).
  31. 片桐孝洋, 吉瀬謙二, 本多弘樹, 弓場敏嗣: データ再分散を行う並列Gram-Schmidt再直交化, 情報処理学会論文誌コンピューティングシステム, Vol.45, No. SIG 6(ACS 6), pp.75-85 (May 2004).
  32. Ryo Takata, Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: Design and Preliminary Implementation of a Particle Simulation Machine for Efficient Short-range Interaction Computations, IPSJ Transactions on Advanced Computing Systems, Vol.44, No.SIG 6(ACS 1), pp.96-112 (May 2003)
  33. 城田祐介, 吉瀬謙二, 本多弘樹, 弓場敏嗣: ホームベースソフトウェア分散共有メモリ上でMigratory Accessを 効率良く処理する権限委譲プロトコル, 情報処理学会論文誌, Vol.44, No. SIG 1(HPS 6), pp.103-113 (January 2003).
  34. 吉瀬謙二, 坂井修一, 田中英彦: 2レベル・ストライド値予測機構の可能性検討, 情報処理学会論文誌, Vol.41, No.5, pp.1340-1350 (May 2000).
  35. 加藤敏洋, 平田富夫, 斉藤豊文, 吉瀬謙二: ユークリッド距離変換アルゴリズムの効率化, 電子情報通信学会論文誌, Vol.J78-D-2, No.12, pp.1750-1757 (December 1995).

Publication, Conferences (研究業績 国際会議論文, 査読有)

  1. Kenji Kise: An open-source and GUI-capable RISC-V computer system on a low-end FPGA board, IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2023), pp.23-30 (Singapore, Presentation 2023-12-18) (December 2023).
  2. Yuji Yamada, Nesrine Berjab, Tomohiro Yoneda, Kenji Kise: A remote partial-reconfigurable SoC with a RISC-V soft processor targeting low-end FPGAs, IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2023), pp.31-37 (Singapore, Presentation 2023-12-18) (December 2023).
  3. Md Ashraful Islam and Kenji Kise: Efficient Resource Shared RISC-V Multicore Processor (Best Paper Runner-up Award), 2021 IEEE 14th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2021), pp.366-372 (December 2021)
  4. Thiem Van Chu, Kenji Kise and Kiyofumi Tanaka: Dependency-Driven Trace-Based Network-on-Chip Emulation on FPGAs, 28th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA 2020), pp.211-221 (February 2020).
  5. Elsayed A. Elsayed and Kenji Kise: Towards an Efficient Hardware Architecture for Odd-even Based Merge Sorter, IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2019), pp.249-256 (Singapore, Presentation 2019-10-03) (October 2019).
  6. Katsunoshin Matsui, Md Ashraful Islam, and Kenji Kise: An Efficient Implementation of a TAGE Branch Predictor for Soft Processors on FPGA, IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2019), pp.108-115 (Singapore, Presentation 2019-10-02) (October 2019).
  7. Makoto Saitoh and Kenji Kise: Very Massive Hardware Merge Sorter, The 2018 International Conference on Field-Programmable Technology (FPT'18), pp.89-96 (Okinawa Japan) (December 2018).
  8. Elsayed A. Elsayed and Kenji Kise: Design and Evaluation of a Configurable Hardware Merge Sorter for Various Output Records, IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2018), pp.201-208 (Hanoi Vietnam, Presentation 2018-09-14) (September 2018).
  9. Yuuma Azuma, Hayato Sakagami and Kenji Kise: An Efficient Parallel Hardware Scheme for Solving the N-Queens Problem, IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2018), pp.16-22 (Hanoi Vietnam, Presentation 2018-09-12) (September 2018).
  10. Thiem Van Chu and Kenji Kise: An Effective Architecture for Trace-Driven Emulation of Networks-on-Chip on FPGAs, 28th International Symposium on Field-Programmable Logic and Applications (FPL 2018), pp.419-426 (Dublin Ireland, Presentation 2018-08-30) (August 2018).
  11. Kenji Kise: Swap-Based Merge Network for High Performance Sorting Accelerators, International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART 2018), pp.1-6 (Toronto Canada, Presentation 2018-06-21) (June 2018).
  12. Makoto Saitoh, Elsayed A. Elsayed, Thiem Van Chu, Susumu Mashimo and Kenji Kise: A High-Performance and Cost-Effective Hardware Merge Sorter without Feedback Datapath, IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM 2018), pp.197-204 (Boulder USA, Presentation 2018-05-01) (April 2018).
  13. Thiem Van Chu, Myeonggu Kang, Shi FA and Kenji Kise: Enhanced Long Edge First Routing Algorithm and Evaluation in Large-Scale Networks-on-Chip, IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2017), pp.83-90 (Seoul Korea, Presentation 2017-09-18) (September 2017).
  14. Susumu Mashimo, Thiem Van Chu, and Kenji Kise: High-Performance Hardware Merge Sorter (Best Paper Award), IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM 2017), pp.1-8 (Napa USA, Presentation 2017-05-01) (April 2017).
  15. Takuma Usui, Thiem Van Chu and Kenji Kise: A Cost-effective and Scalable Merge Sorter Tree on FPGAs, International Symposium on Computing and Networking (CANDAR'16), pp.47-56 (Hiroshima Japan, Presentation 2016-11-24) (November 2016).
  16. Eri Ogawa and Kenji Kise: An Effective Page Padding Method for RAM Buffer Algorithms to Enhance the SSD Endurance, International Symposium on Computing and Networking (CANDAR'16), pp.133-139 (Hiroshima Japan, Presentation 2016-11-23) (November 2016).
  17. Masashi Imai, Thiem Van Chu, Kenji Kise, and Tomohiro Yoneda: The Synchronous vs. Asynchronous NoC Routers: An Apple-to-Apple Comparison between Synchronous and Transition Signaling Asynchronous Designs, IEEE/ACM International Symposium on Networks-on-Chip (NOCS 2016), pp.1-8 (Nara Japan, Presentation 2016-09-02) (August 2016).
  18. Susumu Mashimo, Thiem Van Chu, and Kenji Kise: Cost-Effective and High-Throughput Merge Network Architecture for the Fastest FPGA Sorting Accelerator, International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART 2016), pp.7-12 (Hong Kong, Presentation 2016-07-25) (July 2016).
  19. Ryohei Kobayashi, Tomohiro Misono, and Kenji Kise: A High-speed Verilog HDL Simulation Method using a Lightweight Translator, International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART 2016), pp.29-34 (Hong Kong, Presentation 2016-07-25) (July 2016).
  20. Tomohiro Misono, Ryohei Kobayashi, Shimpei Sato, and Kenji Kise, Effective Parallel Simulation of ArchHDL under Manycore Environment, International Symposium on Computing and Networking -Across Practical Development and Theoretical Research- (CANDAR), pp.140-146 (Sapporo Japan, Presentation 2015-12-09) (December 2015).
  21. Yuki Matsuda, Ryosuke Sasakawa, and Kenji Kise, A Challenge for an Efficient AMI-Based Cache System on FPGA Soft Processors, International Symposium on Computing and Networking -Across Practical Development and Theoretical Research- (CANDAR), pp.133-139 (Sapporo Japan, Presentation 2015-12-09) (December 2015).
  22. Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, and Kenji Kise: Dependable Real-Time Task Execution Scheme for a Many-Core Platform, International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2015), pp.198-205 (October 2015).
  23. Ryohei Kobayashi, and Kenji Kise: FACE: Fast and Customizable Sorting Accelerator for Heterogeneous Many-core Systems, IEEE 9th International Symposium on Embedded Multicore SoCs (MCSoC-15), pp.49-56 (Turin Italy, Presentation 2015-09-23) (September 2015).
  24. Eri Ogawa, Yuki Matsuda, Tomohiro Misono, Ryohei Kobayashi, and Kenji Kise: Reconfigurable IBM PC Compatible SoC for Computer Architecture Education and Research, IEEE 9th International Symposium on Embedded Multicore SoCs (MCSoC-15), pp.65-72 (Turin Italy, Presentation 2015-09-23) (September 2015).
  25. Thiem Van Chu, Shimpei Sato, and Kenji Kise: Ultra-Fast NoC Emulation on a Single FPGA, 25th International Symposium on Field-Programmable Logic and Applications (FPL 2015), pp.343-350 (London UK, Presentation 2015-09-03) (September 2015).
  26. Thiem Van Chu, Shimpei Sato, and Kenji Kise: Enabling Fast and Accurate Emulation of Large-scale Network on Chip Architectures on a Single FPGA (short paper), The 23rd IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM 2015), pp.60-63 (Vancouver Canada, Presentation 2015-05-04) (May 2015).
  27. Shimpei Sato, and Kenji Kise: ArchHDL: A Novel Hardware RTL Design Environment in C++, The 11th International Symposium on Applied Reconfigurable Computing (ARC 2015), pp.53-64, DOI: 10.1007/978-3-319-16214-0_5 (Bochum Germany, Presentation 2015-04-15) (April 2015).
  28. Takuma Usui, Ryohei Kobayashi, and Kenji Kise: A Challenge of Portable and High-speed FPGA Accelerator (short paper), The 11th International Symposium on Applied Reconfigurable Computing (ARC2015), pp.383-392, DOI: 10.1007/978-3-319-16214-0_34 (Bochum Germany) (April 2015).
  29. Shinya Takamaeda-Yamazaki and Kenji Kise: A Framework for Efficient Rapid Prototyping by Virtually Enlarging FPGA Resources, 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig 2014), pp.1-8 (December 2014).
  30. Thiem Van Chu, Shimpei Sato, and Kenji Kise: KNoCEmu: High Speed FPGA-Emulator for a Kilo-Node Scale NoC, IEEE 8th International Symposium on Embedded Multicore SoCs (MCSoC-14), pp.215-222 (September 2014).
  31. Haruka Mori, and Kenji Kise: Design and Performance Evaluation of a Manycore Processor for Large FPGA, IEEE 8th International Symposium on Embedded Multicore SoCs (MCSoC-14), pp.207-214 (September 2014).
  32. Takakazu Ikeda, and Kenji Kise: Application Aware DRAM Bank Partitioning in CMP, The 19th IEEE International Conference on Parallel and Distributed Systems (ICPADS), pp.349-356 (Seoul Korea, Presentation 2013-12-18) (December 2013).
  33. Shinya Takamaeda, Kenji Kise, and James C. Hoe: PyCoRAM: Yet Another Implementation of CoRAM Memory Architecture for Modern FPGA-based Computing, The Third Workshop on the Intersections of Computer Architecture and Reconfigurable Logic (CARL 2013), pp.1-6 (Davis California USA, Presentation 2013-12-07) December 2013.
  34. Ryosuke Sasakawa, and Kenji Kise: LEF: Long Edge First Routing for Two-Dimensional Mesh Network on Chip, Fifth International Workshop on Network on Chip Architectures (NoCArc), pp.5-10 (Davis California USA, Presentation 2013-12-08) (December 2013).
  35. Shimpei Sato, and Kenji Kise: ArchHDL: A New Hardware Description Language for High-Speed Architectural Evaluation, IEEE 7th International Symposium on Embedded Multicore SoCs (MCSoC-13), pp.107-112 (Tokyo Japan, Presentation 2013-09-28) (September 2013).
  36. Tomoyuki Nagatsuk, and Kenji Kise: Design and Implementation of an Efficient and Realistic Cooperative Core Architecture, IEEE 7th International Symposium on Embedded Multicore SoCs (MCSoC-13), pp.13-18 (Tokyo Japan, Presentation 2013-09-26) (September 2013).
  37. Yuichiro Tanaka, Shimpei Sato, and Kenji Kise: The Ultrasmall Soft Processor, International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies (HEART2013), pp.63-68 (June 2013).
  38. Ryohei Kobayashi, Shinya Takamaeda-Yamazaki, and Kenji Kise: Towards a Low-Power Accelerator of Many FPGAs for Stencil Computations, Third International Conference on Networking and Computing, pp.343-349 (December 2012).
  39. Takakazu Ikeda, Shinya Takamaeda-Yamazaki, Naoki Fujieda, Shimpei Sato, and Kenji Kise: Read Density Aware Fair Memory Scheduling (Performance Track Award), 3rd JILP Workshop on Computer Architecture Competitions (JWAC-3): Memory Scheduling Championship (MSC) in conjunction with ISCA-39th, pp.1-6 (June 2012).
  40. Tomoyuki Nagatsuka, Yoshito Sakaguchi, and Kenji Kise: CoreSymphony Architecture, ACM International Conference on Computing Frontiers, pp.249-252, DOI: 10.1145/2212908.2212945 (May 2012).
  41. Shinya Takamaeda, Shintaro Sano, Yoshito Sakaguchi, Naoki Fujieda, and Kenji Kise: ScalableCore System: A Scalable Many-core Simulator by Employing Over 100 FPGA, The 8th International Symposium on Applied Reconfigurable Computing (ARC 2011), Lecture Notes in Computer Science, Vol.7199/2012, pp.138-150, DOI: 10.1007/978-3-642-28365-9_12 (March 2012).
  42. Naoki Fujieda and Kenji Kise: A Partitioning Method of Cooperative Caching with Hit Frequency Counters for Many-Core Processors, Third Workshop on Ultra Performance and Dependable Acceleration Systems held in conjunction with ICNC'11, pp.160-165 (December 2011).
  43. Mochamad Asri, Naoki Fujieda, and Kenji Kise: Rethinking Processor Instruction Fetch:Inefficiencies-Cracking Mechanism, International SoC Design Conference (ISOCC2011), pp.207-210 (November 2011).
  44. Tomoyuki Nagatsuka, Yoshito Sakaguchi, Takayuki Matsumura, and Kenji Kise: CoreSymphony: An Efficient Reconfigurable Multi-core Architecture, COMPUTER ARCHITECTURE NEWS, Vol.39, No.4, pp.32-37 (International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies HEART2011, pp. 29-34, June 2011), DOI: 10.1145/2082156.2082165 (September 2011).
  45. Shinya Takamaeda, Ryosuke Sasakawa, Yoshito Sakaguchi, and Kenji Kise: An FPGA-based Scalable Simulation Accelerator for Tile Architectures, COMPUTER ARCHITECTURE NEWS, Vol.39, No.4, pp.38-43 (International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies HEART2011, pp. 35-40, June 2011), DOI: 10.1145/2082156.2082166 (September 2011).
  46. Kenji Kise and Masahiro Sano: Software Distributed Shared Memory System for Many-core Architectures, International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA-2011), pp.1-3 (April 2011).
  47. Shinya Takamaeda, Shimpei Sato, Takefumi Miyoshi, and Kenji Kise: SmartCore System for Dependable Many-core Processor with Multifunction Routers, International Conference on Networking and Computing (ICNC'10), pp.133-139 (November 2010).
  48. Takefum Miyoshi, Kenji Kise, Hidetsugu Irie, and Tsutomu Yoshinaga: CODIE: Continuation-based Overlapping Data-transfers with Instruction Execution, International Conference on Networking and Computing (ICNC'10), pp.71-77 (November 2010).
  49. Shintaro Sano, Masahiro Sano, Shimpei Sato, Takefumi Miyoshi, and Kenji Kise: Pattern-based Systematic Task Mapping for Many-core Processors, Workshop on Ultra Performance and Dependable Acceleration Systems held in conjunction with ICNC'10, pp.173-178 (November 2010).
  50. Naoki Fujieda, Takefumi Miyoshi, and Kenji Kise: SimMips: A MIPS System Simulator, Workshop on Computer Architecture Education (WCAE) held in conjunction with MICRO-42, pp.32-39 (December 2009).
  51. Yuhta Wakasugi, Naoki Fujieda, Shinya Takamaeda, and Kenji Kise: MipsCoreDuo: A Multifunction Dual-core Processor, International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS), pp.587-590 (December 2009).
  52. Koh Uehara, Shimpei Sato, Takefumi Miyoshi, and Kenji Kise: A Study of an Infrastructure for Research and Development of Many-Core Processors, International Conference on Parallel and Distributed Computing Applications and Technologies (PDCAT), pp.414-419 (December 2009).
  53. Yusuke Mori and Kenji Kise: The Cache-Core Architecture to Enhance the Memory Performance on Multi-Core Processors, International Conference on Parallel and Distributed Computing Applications and Technologies (PDCAT), pp.445-450 (December 2009).
  54. Shinya Takamaeda, Shimpei Watanabe, Takefumi Miyoshi, and Kenji Kise: ScalableCore : The Concept of Practical and Low-Cost Prototyping System for Many-Core Processor Research and Education, The 4th Workshop on Architectural Research Prototyping (WARP 2009) held in conjunction with the ISCA-2009, pp.1-2 (June 2009).
  55. Takashi Nakada, Yasuhiko Nakashima, Hajime Shimada, Kenji Kise, and Toshiaki Kitamura: OROCHI: A Multiple Instruction Set SMT Processor, International Workshop on New Frontiers in High-performance and Hardware-aware Computing (HipHaC), pp.1-8 (November 2008).
  56. Shimpei Sato, Naoki Fujieda, Akira Moriya, and Kenji Kise: Processor Simulator SimCell to Accelerate Research on Many-core Processor Architectures, Workshop on Cell Systems and Applications (WCSA 2008) held in conjunction with the ISCA-2008, pp.119-127 (June 2008).
  57. Hajime Shimada, Takashi Shimada, Takekazu Tabata, Toshiaki Kitamura, Tomoya Kojima, Yasuhiko Nakashima and Kenji Kise: Outline of OROCHI: A Multiple Instruction Set Executable SMT Processor, International Workshop on Innovative Architecture for Future Generation Processors and Systems (IWIA-2007), pp.110-117 IEEE Computer Society Press (2007).
  58. Satoshi Ohshima, Kenji Kise, Takahiro Katagiri, and Toshitsugu Yuba: Parallel Processing of Matrix Multiplication in a CPU and GPU Heterogeneous Environment, in Proceedings of VECPAR2006, Lecture Note in Computer Science (LNCS), Vol.4395, pp.305-318 (July 2006).
  59. Kenji Kise, Takahiro Katagiri, Hiroki Honda, and Toshitsugu Yuba: Evaluation of the Acknowledgment Reduction in a Software-DSM System, in proceedings of Parallel Processing and Applied Mathematics (PPAM), Lecture Note in Computer Science (LNCS), Vol.3911, pp.17-25, Springer-Verlag (2005).
  60. Kenji Kise, Takahiro Katagiri, Hiroki Honda, and Toshitsugu Yuba: The Bimode++ Branch Predictor, Proceedings of the 8th International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA-2005), pp.19-26, IEEE Computer Society Press (2005).
  61. Kenji Kise, Takahiro Katagiri, Hiroki Honda, and Toshitsugu Yuba: A Super Instruction-Flow Architecture for High Performance and Low Power Processors, Proceedings of the 7th International Workshop on Innovative Architecture for Future Generation High-Peformance Processors and Systems (IWIA-2004), pp.10-19, IEEE Computer Society Press (2004).
  62. Kenji Kise, Takahiro Katagiri, Hiroki Honda, and Toshitsugu Yuba: The SimCore/Alpha Functional Simulator, Workshop on Computer Architecture Education (WCAE-2004) held in conjunction with the ISCA-31, pp.128-135 (June 2004).
  63. Kenji Kise, Takahiro Katagiri, Hiroki Honda, and Toshitsugu Yuba: A Super Instruction-Flow Architecture, International Symposium on Low-Power and High-Speed Chips (COOL Chips VII), pp.279-290 (April 2004).
  64. Takahiro Katagiri, Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: Effect of Auto-tuning with User's Knowledge for Numerical Software, Proceedings of ACM Computing Frontiers 04, pp.12-25 (April 2004).
  65. Takahiro Katagiri, Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: FIBER: A Generalized Framework for Auto-tuning Software, in proceedings of International Symposium of High Performance Computing (ISHPC-V), Lecture Note in Computer Science (LNCS), Vol.2858, pp.146-159, Springer-Verlag (October 2003).
  66. Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: SimAlpha Version 1.0: Simple and Readable Alpha Processor Simulator, in proceedings of Asia-Pacific Computer Systems Architecture Conference (ACSAC'2003), Lecture Note in Computer Science (LNCS), Vol.2823, pp.122-136, Springer-Verlag (September 2003).
  67. Ryo Takata, Kenji Kise, Hiroki Honda, and Toshitsugu Yuba: DEM-1: A Particle Simulation Machine for Efficient Short-Range Interaction Computations, in 16th International Parallel and Distributed Processing Symposium (IPDPS-2002), pp.64-71 (April 2002).

News Letters (解説・総説など)

  1. 吉瀬謙二: ハイパフォーマンスプロセッサ設計コンテストの設計と実現, 電子情報通信学会誌, Vol.97, No.9 (September 2014).
  2. 吉瀬謙二: 計算機アーキテクチャ研究会(研究会活動紹介), 情報処理, Vol.55, No.4 (March 2014).
  3. 吉瀬謙二: 高機能NoCルータアーキテクチャ(解説記事), 日本信頼性学会誌DVLSI特集号 (December 2013).
  4. 吉瀬謙二: シンプルな計算機システムと組込みシステムの作り方と使い方 〜そこから見えてきた今時のハードとソフトの学び方〜 (チュートリアル), 組込みシステム シンポジウム2013(ESS2013) (October 2013).
  5. Kenji Kise: Challenges for Dependable Many-Core Processors, NII Shonan Meeting Seminar 031 Many-cores and On-chip Interconnects (Shonan Village Center Japan, Presentation 2013-09-25) (September 2013).
  6. 上田和紀, 吉瀬謙二, 土村展之, 湯淺太一, 権藤克彦, 本位田真一: ソフトウェア論文座談会, 日本ソフトウェア科学会学会誌 コンピュータソフトウェア, Vol.26, No.4, pp. 2-16 (2009).
  7. 吉瀬謙二: さあ,マルチコアプロセッサの時代です!, 情報処理, Vol.49, No.12, pp.1403-1406 (December 2008).
  8. Kenji Kise, Toshinori Sato, and Hironori Nakajo: Introduction to the Special Issue on the 2007 Advanced Low Power Systems Workshop, COMPUTER ARCHITECTURE NEWS, Vol.35, No.5, pp.1-2 (2008).
  9. 吉瀬謙二: Cellスピードチャレンジ2007は楽しめましたか?(報告), 情報処理, Vol.48, No. 11, pp.1251-1253 (November 2007).
  10. 吉瀬謙二: 特集 新世代マイクロプロセッサアーキテクチャ, タイルプロセッサ(解説記事), 情報処理, Vol.46, No.10, pp.1131-1137 (October 2005).
  11. 吉瀬謙二: 国際会議 COOL Chips VII: An International Symposium on Low-Power and High-Speed Chips (国際会議報告), 電子情報通信学会誌, Vol.87, No.11, p.995 (November 2004).

Invited Talks (招待講演)

  1. 吉瀬謙二: 組み込みシステムの研究開発を加速するアダプティブコンピューティング (招待講演), 情報処理学会 組込みシステム研究会2020-EMB-54 (2020年6月26日発表), (June 2020).
  2. 吉瀬謙二: アダプティブコンピューティングの研究を推進するための試み (招待講演), 電子情報通信学会RECONF2020-15 (2020年5月29日発表), (May 2020).
  3. 吉瀬謙二: 高性能ハードウェアソーティングアクセラレータに関する研究 (招待講演), 電子情報通信学会RECONF2018-14, 於 ゲートシティ大崎(2018年5月25日発表), p.71 (May 2018).
  4. 吉瀬謙二: コンピューティングシステム・アーキテクチャ研究とハードウェア試作 (招待講演), 電子情報通信学会北陸支部 講演会, 北陸先端科学技術大学院大学(2015年3月23日講演) (March 2015).
  5. 吉瀬謙二: コンピュータアーキテクチャ研究とハードウェア試作(招待講演), 電子情報通信学会 機能集積情報システム研究会, 東京工業大学大岡山キャンパス(2015年3月6日講演) (March 2015).
  6. 吉瀬謙二: JEITA情報システム技術シンポジウム 〜雲の向こうにつながる世界〜, 次世代計算機システムの中核技術を探る −マルチコアプロセッサの先端研究− (招待講演) (January 2009).
  7. Kenji Kise: SmartCore System for Future Many-Module Era (Invited Talk), The International Conference on Information and Communication Technology for Embedded Systems(IC-ICTES2013), Samutsongkhram Thailand (January 2013).
  8. 吉瀬謙二: メニーコアプロセッサ時代に向けた幾つかの試み(招待講演), JEITAマイクロプロセッサ専門委員会マルチコア懇談会 (March 2008).
  9. 吉瀬謙二: JEITA情報システム技術シンポジウム 〜広がる進化〜, 次世代計算機システムの中核技術を探る −マルチコア,メニーコア時代のプロセッサ技術展望− (招待講演) (January 2008).
  10. 吉瀬謙二: メニーコア/マルチコア/タイルの概論(招待講演), シリコン超集積化システム第165委員会 第46回研究会「マルチコアプロセッサSoCの現状と今後の展望」 (July 2007).
  11. 吉瀬謙二: JEITA情報システム技術シンポジウム, 次世代計算機システムの中核技術を探る(招待講演) (December 2005).

Patents (特許)

  1. 吉瀬謙二, 眞下達: データソート装置, 特願2017-097111 (2017-05-16).
  2. 吉瀬謙二, 眞下達: データソート装置, 特願2017-050485 (2017-03-15).
  3. 吉瀬謙二: 不安定状態を利用する予測器,プロセッサ, 特願2004-350584 (2004-12-03).
  4. 吉瀬謙二: 極端な偏りに基づく分岐予測器,それを組み込んだプロセッサ及びハードウェア予測器, 特開2005-32024 (2005-02-03).
  5. 吉瀬謙二: 制御フローコードの分離によるプロセッサの実現方法及び それを用いたマイクロプロセッサ, 特許第3795449号(2006-04-21), 特開2004-171248.

Honors (受賞)

  1. 令和2年度東工大教育賞 優秀賞 「情報工学のハードウェア関連授業をオンラインで実施する方法の開発と実践」 (December 2021).
  2. 令和元年度東工大教育賞 優秀賞 「大学院を対象とするデータサイエンス・AI全学教育プログラム」 (February 2021).
  3. Best Paper Award in IEEE International Symposium on Field-Programmable Custom Computing Machines 2017 (FCCM2017), "High-Performance Hardware Merge Sorter" (May 2017).
  4. TOKYO TECH Challenging Research Award, Tokyo Institute of Technology, 2011
    (平成23年度東工大挑戦的研究賞(Challenging Research Award) 「超ディペンダビリティ支援高機能ルータの開発」(August 2011)).
  5. Excellent Poster Award in SACSIS2010 (Annual Symposium on Advanced Computing Systems and Infrastructures), Information Processing Society of Japan, 2010
    (先進的計算基盤システムシンポジウムSACSIS2010 優秀ポスター賞「メニーコアアーキテクチャのHW評価環境ScalableCore システムの活用 〜ディペンダブルプロセッサの実装〜」 (May 2010)).
  6. Best Poster Award in Computer System Symposium (ComSys2008), Information Processing Society of Japan, 2008
    (コンピュータシステム・シンポジウム(ComSys2008)最優秀ポスター賞 「MieruPCプロジェクト: 中身が見える計算機システムを構築する研究・教育プロジェクト」 (November 2008)).

Granted Research Projects (競争的資金)

  1. 研究代表者: 「大規模メニーコアプロセッサの超高速エミュレーションに関する研究」, 2016年度〜2019年度(平成28年度〜平成31年度), 文部科学省「科学研究費補助金」・基盤研究(B) 課題番号16H02794.
  2. 研究代表者: 「コア融合機能を持つ計算機システムの開発」, 2013年度〜2015年度(平成25年度〜平成27年度), 文部科学省「科学研究費補助金」・基盤研究(C) 課題番号25330056.
  3. 研究代表者: 「コア融合機能を持つメニーコアプロセッサに関する研究」, 2010年度〜2012年度(平成22年度〜平成24年度), 文部科学省「科学研究費補助金」・若手研究(B) 課題番号22700046.
  4. 研究代表者: 「投機技術を積極的に利用するチップマルチプロセッサに関する研究」, 2006年度〜2009年度(平成18年度〜平成20年度),文部科学省「科学研究費補助金」・若手研究(B) 課題番号18700042.
  5. 研究代表者: 「大規模プロセッサ設計のための高速及び高信頼シミュレーション技術の開発」, 2004年度〜2006年度(平成16年度〜平成17年度),文部科学省「科学研究費補助金」・若手研究(B) 課題番号16700055.
  6. 研究代表者: 「投機アーキテクチャを利用する高性能プロセッサに関する研究」, 2001年度〜2002年度(平成13年度〜平成14年度),文部科学省「科学研究費補助金」・奨励研究(A) 課題番号13780211.
  7. 研究代表者: 「制御フローコードとアドレス計算コードの分離による新しいプロセッサアーキテクチャの研究」, 2002年11月〜2006年3月 独立行政法人 科学技術振興機構(JST),さきがけ.
  8. グループリーダ: 「超ディペンダビリティ支援NoCルータアーキテクチャ」, 平成24年度〜平成25年度,JST CREST「ディペンダブルネットワークオンチッププラットフォームの構築(代表者 米田友洋)」.
  9. グループリーダ: 「超ディペンダビリティ支援高機能ルータ」, 平成20年度〜平成24年度,JST CREST「アーキテクチャと形式検証の協調による超ディペンダブルVLSI(代表者 坂井修一)」.
  10. 研究代表者: 「FPGAを用いたLCD表示器の研究・開発」,2009年度(平成21年度),株式会社インテグラル電子との共同研究.

Professional Activities (主な学会活動等)

  1. IEICE Transactions on Information and Systems, Special Section on Parallel and Distributed Computing and Networking, Guest Associate Editor, 2010, 2014, 2015, 2016, 2017.
  2. International Symposium on Field-Programmable Custom Computing Machines (FCCM), Program Committee Member, 2020, 2021.
  3. International Conference on Field-programmable Logic and Applications (FPL), Program Committee Member, 2015, 2016, 2017, 2018, 2019, 2020, 2021.
  4. International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies (HEART), Program Committee Member, 2016, 2017, 2018, 2019.
  5. International Conference on Field-Programmable Technology (FPT), Program Committee Member, 2018, 2019.
  6. Asia Pacific Conference on Robot IoT System Development and Platform 2018 (APRIS2018), Program Committee Member, 2018, 2019.
  7. Annual IFIP International Conference on Network and Parallel Computing (NPC), Program Committee Member, 2016, 2017.
  8. Summer Simulation Multi-Conference (SummerSim, SCSC), Program Committee Member, 2016, 2017.
  9. International Conference on Advances in Computation, Communications and Service (ACCSE), Program Committee Member, 2016.
  10. International Conference on Advances in Computing, Communications & informatics (ICACCI), Program Committee Member, 2015, 2016.
  11. IEEE International Conference on Parallel and Distributed Systems (ICPADS), Program Committee Member, 2010, 2013.
  12. IEEE International Symposium on Embedded Multicore SoCs (MCSoC),
  13. International Workshop on Accelerating Analytics and Data Management Systems Using Modern Processor and Storage Architectures (ADMS), Program Committee Member, 2020.
  14. International Symposium on Computing and Networking -Across Practical Development and Theoretical Research-(CANDAR), Program Committee Member, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020.
  15. ACM International Conference on Supercomputing (ICS), Publicity Chair, 2008.
  16. International Conference on Networking and Computing (ICNC), Program Committee Member, 2010, 2011, 2012.
  17. International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA), Program Committee Member, 2010, 2012, 2014.
  18. International Conference on Network-Based Information Systems (NBiS), Program Committee Member, 2013.
  19. Special Session on FPGA Technologies for Adaptive Computing (FTAC 2021) held in conjunction with MCSoC, General Chair, 2021.
  20. Workshop on Ultra Performance and Dependable Acceleration Systems (UPDAS),
  21. International Workshop on Advanced Low Power Systems (ALPS),
  22. International Symposium on Low-Power and High-Speed Chips (COOL Chips),
  23. 1st cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xSIG), Program Committee Member, 2017.
  24. Annual Meeting on Advanced Computing System and Infrastructure (ACSI),
  25. アダプティブコンピューティング研究推進体 (ACRi) 代表者, 2020-.
  26. 社団法人電子情報技術産業協会(JEITA) 計算機システム技術専門委員会委員,2000-.
  27. 組込みシステムシンポジウム(ESS) プログラム委員, 2013, 2014, 2015, 2016, 2017, 2018, 2019.
  28. 情報科学技術フォーラム(FIT),
  29. 情報処理学会(Information Processing Society of Japan),
  30. 電子情報通信学会(The Institute of Electronics, Information and Communication Engineers),
  31. 先進的計算基盤システムシンポジウムSACSIS,
  32. The 1st IPSJ SIG-ARC High-Performance Processor Design Contest, 実行委員長, 2013.
  33. The 2nd ARC/CPSY/RECONF High-Performance Computer System Design Contest, 組織委員長, 2014.
  34. 先進的計算基盤システムシンポジウムSACSIS併設企画 マルチコアプログラミングコンテスト Cellスピードチャレンジ,

Education, Awards of Laboratory's Students (教育, 指導学生の授賞)

  1. Katsunoshin MATSUI, Kazuki NAKANO, Junya MIURA and Hiromu MIYAZAKI: The third place in the 2nd AI edge contest with 377 participants (April 2020).
  2. Yuki Matsuda: 情報処理学会第78回全国大会 学生奨励賞「Frix: Feasible and Reconfigurable IBM PC Compatible SoC」(March 2016).
  3. Susumu Mashimo: 情報処理学会第78回全国大会 学生奨励賞「Fast Merge Network for Sorting on FPGA」(March 2016).
  4. Robin Kase: 情報処理学会第78回全国大会 学生奨励賞「Efficient User Space Scheduler Library for FreeRTOS」(March 2016).
  5. Paniti Achararit: 情報処理学会第78回全国大会 学生奨励賞「An Approach to Real Time Tennis Ball Speed Analysis on Tablet PC」(March 2016).
  6. 小川愛理: 情報処理学会第78回全国大会 学生奨励賞「SSDの耐久性を高めるキャッシュアーキテクチャの検討」(March 2016).
  7. 藤浪将: 情報処理学会第78回全国大会 学生奨励賞「Verilog HDLで記述するRISC-V命令セットのアウトオブオーダ実行プロセッサ」(March 2016).
  8. 小林諒平: 電子情報通信学会コンピュータシステム研究会 優秀若手講演賞「FPGAベースのソーティングアクセラレータの設計と実装」(June 2015).
  9. Thiem Van Chu: 情報処理学会第77回全国大会 学生奨励賞「A Novel Time-Division Multiplexing Approach for Emulating NoC Architectures on FPGAs」(March 2015).
  10. 味曽野智礼: 情報処理学会第77回全国大会 学生奨励賞「System Software Of A Future Computer System With Mesh Interconnect」(March 2015).
  11. 小林諒平: The 2nd ARC/CPSY/RECONF High-Performance Computer System Design Contest(第2回 ARC/CPSY/RECONF 高性能コンピュータシステム設計コンテスト) コンピュータシステム設計部門 優勝 「ソフトウェアチューニングとHWアクセラレータによるオプティカルフローの高速化」(September 2014).
  12. 佐藤真平: 計算機アーキテクチャ研究会若手奨励賞「ArchHDLによるハードウェア記述の実践」(March 2014).
  13. Shinya Takamaeda: Digilent Design Contest 2014 Japan Region RUNNER UP, "A High Performance Heterogeneous FPGA-based Accelerator with PyCoRAM" (February 2014).
  14. 高前田伸也: The 1st IPSJ SIG-ARC High-Performance Processor Design Contest(高性能プロセッサ設計コンテスト) 学生部門準優勝「メモリ抽象化フレームワークPyCoRAMを用いたソフトプロセッサ混載FPGAアクセラレータの開発」(January 2014).
  15. 浅野悠: 先進的計算基盤システムシンポジウムSACSIS2013 優秀若手研究賞 「10000タスクに対応するタスク配置手法SFMOPTの提案と評価」 (April 2013).
  16. 藤枝直輝: 情報処理学会 研究会推薦博士論文「Research on Many-core Cooperative Caching」(March 2013).
  17. 笹河良介: 情報処理学会第75回全国大会 学生奨励賞 「NoCにおけるロングエッジファースト(LEF)ルーティングの提案」 (March 2013).
  18. 田中雄一郎: 情報処理学会第75回全国大会 学生奨励賞 「世界最小ソフト・プロセッサの設計」 (March 2013).
  19. Takakazu Ikeda, Shinya Takamaeda-Yamazaki, Naoki Fujieda, Shimpei Sato, and Kenji Kise: Performance Track Award in 3rd JILP Workshop on Computer Architecture Competitions (JWAC-3): Memory Scheduling Championship (MSC), "Read Density Aware Fair Memory Scheduling" (June 2012).
  20. 高前田伸也: 情報処理学会第73回全国大会 学会推奨修士論文 「メニーコア時代のHW/SW研究を加速するスケーラブルシステム」 (May 2011).
  21. 永塚智之: 情報処理学会第73回全国大会 学会推奨卒業論文 「コア融合プロセッサのフロントエンドアーキテクチャに関する研究」 (May 2011).
  22. 佐野伸太郎: 情報処理学会第72回全国大会 大会優秀賞 「メニーコアプロセッサの性能向上を目指すタスク配置手法」 (June 2010).
  23. 坂口嘉一: 情報処理学会第72回全国大会 学会推奨卒業論文 「プログラムの振る舞いに着目したコア融合プロセッサの動的最適化」 (March 2010).
  24. 入谷優: 情報処理学会第72回全国大会 学会推奨卒業論文 「メニーコアプロセッサにおける効率的なキャッシュシステム」 (March 2010).
  25. 高前田伸也, 佐藤真平, 三好健文, 吉瀬謙二: 先進的計算基盤システムシンポジウムSACSIS2010 優秀ポスター賞「メニーコアアーキテクチャのHW評価環境ScalableCore システムの活用 〜ディペンダブルプロセッサの実装〜」 (May 2010).
  26. 若杉祐太: 情報処理学会CS領域奨励賞「CoreSymphonyアーキテクチャのための物理レジスタ管理手法, 情報処理学会研究報告2009-ARC-188」 (2010).
  27. 佐藤真平: IPSJ論文船井若手奨励賞「SimCell: A Processor Simulator for Multi-Core Architecture Research, IPSJ Transactions on Advanced Computing Systems, Vol.2, No.1, pp. 146-157」(March 2010).
  28. 森洋介: 情報処理学会第72回全国大会 学生奨励賞 「メニーコアプロセッサにおけるオンチップネットワークの可視化ツールの開発」 (March 2010).
  29. 入谷優: 情報処理学会第72回全国大会 学生奨励賞 「メニーコアプロセッサにおける効率的なキャッシュシステム」 (March 2010).
  30. 佐野伸太郎: 情報処理学会第72回全国大会 学生奨励賞 「メニーコアプロセッサの性能向上を目指すタスク配置手法」 (March 2010).
  31. 若杉祐太: 先進的計算基盤システムシンポジウムSACSIS2009ポスター発表 Most Interesting Poster賞(MIP賞) 「アーキテクチャ研究をサポートする低コストで効率的なVDECチップ試作・検証システムの開発と応用」 (May 2009).
  32. 若杉祐太: LSIデザインコンテスト in 沖縄2009 優勝 LSI of the Year for Student 「MipsCoreDuo: A Multifunction Dual-core Processor」 (March 2009).
  33. 高前田伸也: 情報処理学会第71回全国大会 学生奨励賞 「メニーコアプロセッサの高速プロトタイピングシステムScalableCoreの提案」 (March 2009).
  34. 高前田伸也: The 5th IEEE Tokyo Young Researchers Workshop 三菱電機 Changes for the better賞 「これが中身が見える計算機システムMieruPC-2008だ!」 (December 2008).
  35. 佐藤真平: 電子情報通信学会 東京支部学生会奨励賞 「計算機アーキテクチャ研究を加速するプロセッサシミュレータSimCellの開発とその応用」 (April 2008).
  36. 佐々木豊: 情報処理学会第69回全国大会 学生奨励賞「ハードウェア分岐予測機構を持たないプロセッサにおけるソフトウェア分岐予測の可能性」 (March 2007).

研究会 口頭発表

  1. 松井克之心, 宮崎広夢, 中野和樹, 吉瀬謙二: Vitis AIを用いた物体検出アルゴリズムの実装と第2回AIエッジコンテスト入賞への道のり, 電子情報通信学会研究報告RECONF2020-23, pp.25-29 (September 2020).
  2. 金森拓斗, 宮崎広夢, 吉瀬謙二: 組み込みシステムに適したRISC-Vソフトプロセッサの設計と実装, 情報処理学会研究報告2020-EMB-54, 於 東工大(2020年6月26日発表), pp.1-8 (June 2020).
  3. 三浦順也, 宮崎広夢, 吉瀬謙二: Linuxが動作するRISC-Vコンピュータシステムの設計とVerilog HDLによる実装, 電子情報通信学会研究報告RECONF2019-62 (2020年1月23日発表), pp.117-122 (January 2020).
  4. 宮崎広夢, 三浦順也, 吉瀬謙二: RISC-Vソフトプロセッサの効率的な命令フェッチアーキテクチャ, 電子情報通信学会研究報告RECONF2019-1, 於 東工大蔵前会館(2019年5月9日発表), pp.1-6 (May 2019).

Copyright(c) 2018, 2019, 2020, 2021, 2022, 2023, 2024 Tokyo Tech Kise Laboratory. All rights reserved.